Synopsys, Inc. v. Avatar Integrated Systems, Inc., No. 3:2020cv04151 - Document 48 (N.D. Cal. 2020)

Court Description: ORDER GRANTING DEFENDANT'S 26 MOTION TO DISMISS AS TO CLAIMS I AND II AND DENYING MOTION AS TO CLAIM VI by Judge William H. Orrick. (jmdS, COURT STAFF) (Filed on 11/12/2020)

Download PDF
1 2 3 4 UNITED STATES DISTRICT COURT 5 NORTHERN DISTRICT OF CALIFORNIA 6 7 SYNOPSYS, INC., Plaintiff, 8 United States District Court Northern District of California 9 Case No. 20-cv-04151-WHO v. 10 AVATAR INTEGRATED SYSTEMS, INC., 11 Defendant. ORDER GRANTING DEFENDANT'S MOTION TO DISMISS AS TO CLAIMS I AND II AND DENYING MOTION AS TO CLAIM VI Re: Dkt. No. 26 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 INTRODUCTION Defendant Avatar Integrated Systems, Inc., (“Avatar”) moves to dismiss three of six patent infringement claims brought by plaintiff Synopsys, Inc. (“Synopsys”), arguing that three of the asserted patents are directed to abstract mental processes or mathematics and therefore fail to claim patent-eligible subject matter under 35 U.S.C. § 101. As discussed below, I conclude that the ’863 and ’640 patents are directed to patent-ineligible abstract ideas, do not contain any inventive concepts, and are therefore invalid under § 101. In contrast, construing all facts in favor of Synopsys at the motion to dismiss stage, I conclude that the ’655 patent is directed to a specific method for checking engineering change orders across multiple scenarios during the process of fixing design requirement violations, which improves the efficient use of computers running chip design software, and is therefore not invalid under § 101. In line with these conclusions, Avatar’s motion to dismiss is GRANTED as to Claims I and II and DENIED as to Claim VI. BACKGROUND Avatar seeks to dismiss claims related to U.S. Patent Nos. 7,103,863 (“the ’863 patent”); 8,407,655 (“the ’655 patent”); and 8,407,640 (“the ’640 patent”), arguing that all three patents are 1 invalid under the Supreme Court’s Alice decision and its progeny. All three patents claim 2 inventions in the field of computer chip design and manufacturing. 3 I. United States District Court Northern District of California 4 THE ’863 PATENT The ’863 patent, titled “Representing The Design of a Sub-Module in a Hierarchical 5 Integrated Circuit Design and Analysis System,” relates to “systems for designing and verifying 6 the contents and layout of an integrated circuit” in the field of electronic circuit fabrication. Dkt. 7 No. 1-1, Ex. 2 (“’863 patent”) at 1:19-22. The patent asserts that in the field of circuit design, the 8 design specification and implementation data that must be run through the relevant design 9 software is often so large that it either does not fit in the processing computer’s memory or is 10 prohibitively time-consuming to process. Id. 1:24-37. To solve this problem, it is industry 11 convention to use “hierarchical decomposition” or “partitioning” whereby the design data is split 12 into more manageable pieces called “blocks,” arranged in a hierarchy, which are then designed 13 and verified independently. Id. 1:38-45. However, because the individual blocks are part of a 14 larger circuit, and affect the behavior of the circuit as a whole, the software system must retain 15 sufficient information so that each block can be properly analyzed “in the context of its parent and 16 sibling blocks.” Id. 2:58-67. To reduce the amount of memory and execution time required for 17 this process, chip designers create a “block abstraction” that represents “the structure and behavior 18 of the block in sufficient detail that the interface with its parent block and its sibling blocks may 19 be correctly analyzed” without needing to retain all of the block data. Id. 20 The ’863 patent’s stated contribution is a new method for block abstraction. According to 21 the ’863 patent, existing methods for block abstraction used “reduced behavioral models to capture 22 approximate behavioral descriptions of the logical, physical, and electrical behavior of the block.” 23 Id. 5:5-10. In contrast, the ’863 patent’s “key idea is to represent the design, not with a simplified 24 mathematical model of reduced accuracy, but as a sub-set of the design data itself. The reduced 25 model consists of a copy of the original model, but with all non-essential information discarded.” 26 Id. 7:10-23. The patent asserts that “[b]y including the physical objects themselves instead of 27 simplified or worst-case models for them, no accuracy is lost.” Id. 7:39-41. 28 The ’863 patent includes two similar independent claims—claim 1, reciting a method, and 2 1 claim 35, an article of manufacture—both related to the block abstraction process described above. 2 In addition, the patent includes 65 dependent claims. Claim 1 appears representative and is the 3 focus of the parties’ briefing. It states: 4 1. A method used in producing a design of an integrated circuit said circuit design having cells and interconnects, said circuit having a representation that is hierarchically decomposed into a top-level and a plurality of blocks, at least some of the plurality of said blocks being capable of being further hierarchically decomposed and of having a parent block associated therewith, said method comprising: 5 6 7 processing a least one of said blocks such that an abstraction is created that includes physical interconnect information relating to interconnects between components within said at least one block, said physical interconnect information modeling parasitic electrical and physical effects of interconnects upon an estimated behavior of said integrated circuit, wherein said processing includes: 8 9 10 retaining only a sub-set of all of said physical interconnect information which influences physical and electrical behavior of said parent block; and United States District Court Northern District of California 11 12 Retaining only a sub-set of cells which influences a logical behavior of said parent block; and 13 14 Utilizing said abstraction in another development phase performed on said parent block. 15 16 Id. 16:65 – 17:19. 17 II. 18 THE ’640 PATENT The ’640 patent, titled “Sensitivity-Based Complex Statistical Modeling for Random On- 19 Chip Variation” relates to performing statistical static timing analysis on information describing a 20 circuit in the field of “integrated circuit timing analysis.” Dkt. No. 1-1, Ex. 1 (“’640 patent”). 21 Static timing analysis is a method by which chip designers verify the correctness of a chip design 22 without simulation. Dkt. No. 9, Corrected Complaint (“CC”) ¶ 15. In static timing analysis, 23 software calculates the expected timing of signals in a circuit to identify timing requirement 24 violations before manufacturing. Id. The ’640 patent describes various drawbacks to the existing 25 methods for performing statistical timing analysis and states that “[t]he need remains for a method 26 of on-chip variation modeling in statistical timing analysis that is sufficiently low cost so as to 27 encourage widespread and rapid adoption.” ’640 patent 1:32 – 2:5. 28 The ’640 patent asserts that it provides an “improved methodology for performing timing 3 1 analysis on integrated circuits” that uses a “novel on-chip variation model.” Id. 2:8-10. The patent 2 contains one independent claim, claim 1, and seven dependent claims, all methods. Claim 1 3 states: 4 5 6 1. A computer-implemented method of statistical static timing analysis (SSTA) comprising: receiving, by a computer, information describing a circuit, the information comprising: 7 8 a first input node, a second input node, and an output node, such that there is a first path from the first input node to the output node, and 9 a second path from the second input node to the output node, 10 United States District Court Northern District of California 11 12 the first path and the second path converging at the output node, each path associated with a parametric delay represented as a nominal delay value and a standard deviation value, the standard deviation value representing a timing impact of local random variation; 13 14 15 16 17 18 performing statistical static timing analysis (SSTA) based on on-chip variation (OCV) model, the SSTA comprising, determining a parametric delay at the output node based on a statistical maximum of parametric delay through the first path and parametric delay through the second path, wherein the statistical maximum preserves N sigma corner delay values, and determining the statistical maximum comprises: determining a nominal delay value of the parametric delay at the output node based on a maximum of: 19 nominal delay value of the parametric delay through the first path, and 20 nominal delay of the parametric delay through the second path; and 21 22 determining a standard deviation value of the parametric delay at the output node, comprising: 23 determining a first value as a maximum of: 24 a weighted sum of nominal delay value and standard deviation value of the parametric delay through the first path, and 25 26 27 a weighted sum of nominal delay value and standard deviation value of the parametric delay through the second path; determining a second value as a maximum of: 28 4 the nominal delay value of the parametric delay through the first path, and 1 2 3 the nominal delay value of the parametric delay through the second path; and 4 determining the difference between the first value and the second value; and 5 standard deviation value of the parametric delay through the first path, and 6 standard deviation value of the parametric delay through the second path; and 7 storing the nominal delay and the standard deviation value of the parametric delay for the output node. 8 9 10 United States District Court Northern District of California 11 Id. 11:1-2:22. III. THE ’655 PATENT The ’655 patent, titled “Fixing Design Requirement Violations in Multiple Multi-Corner 12 Multi-Mode Scenarios” relates to “systems and techniques for fixing design requirement 13 violations” in the field of computer circuit design. Dkt. No. 1-1. Ex. 6 (“’655 patent”) (Dkt. No. 14 1-1). According to the ’655 patent, before computer chips are manufactured, they are checked for 15 design violations to ensure they meet all design requirements across all combinations of process 16 corners, operating conditions, and/or operating modes. Id. 1:19-24; 1:44-47. The term “Multi- 17 Corner/Multi-Mode (MCMM) scenario” or “scenario” “refers to a combination of a particular 18 process corner, a particular operating condition, and/or a particular operating mode.” Id. 1:44-47. 19 In a typical circuit design flow, when violations are detected, incremental adjustments, called 20 Engineering Change Orders (“ECO”), are made to correct them. Id. 1:25-30. But adjustments to 21 fix a violation in one scenario may create new violations in other scenarios, requiring that checks 22 be performed multiple times and across multiple scenarios. Id. 1:51-59. 23 The ’655 patent’s stated contribution aims to reduce the amount of time it takes to check 24 ECOs across various scenarios. According to the ’655 patent, because the information needed to 25 analyze a potential scenario, called the scenario image, is very large, computers can generally 26 store only one scenario image in memory at a time and need to swap out different scenario images 27 to check whether a proposed ECO will cause violations in other scenarios. Id. 4:10-20. It takes a 28 5 1 long time to load a scenario image from storage to memory, meaning that swapping out scenario 2 images can be time consuming. Id. 4:37-41. And, because an ECO can cause unanticipated issues 3 in a different scenario, it may become necessary to swap among the same scenario images multiple 4 times to check the effect of different ECOs. Id. 4:21-47. The ’655 patent outlines a method to 5 reduce the need to swap out scenario images by using a “multi-scenario ECO database”— 6 containing a subset of information about different scenarios—as well as a single scenario image to 7 estimate how a possible ECO will impact other scenarios, thereby reducing the likelihood that an 8 ECO will create violations in other scenarios and need to be reversed or changed later in the 9 process. Id. 4:58-5:2. The ’655 patent includes three similar independent claims, claim 1 reciting a method, United States District Court Northern District of California 10 11 claim 11 a computer-readable storage medium, and claim 21 a system. Id. It also contains 21 12 dependent claims. Claim 1 appears representative and is the focus of both parties’ briefing. It 13 states: 14 1. A method for fixing design requirement violations in a circuit design in multiple scenarios, the method comprising: 15 receiving a scenario image, wherein the scenario image stores parameter values for circuit objects in a scenario; 16 17 receiving a multi-scenario engineering change order (ECO) database, wherein the multi-scenario ECO database stores a subset of parameter values for a subset of circuit objects in the multiple scenarios; and 18 19 determining, by using one or more processors, an ECO to fix one of more design requirement violations, wherein said determining includes estimating parameter values for circuit objects in at least some of the multiple scenarios based on parameter values stored in the scenario image and the multi-scenario ECO database. 20 21 22 23 Id. 9:53-67. LEGAL STANDARD 24 25 I. MOTION TO DISMISS 26 Under Federal Rule of Civil Procedure 12(b)(6), a district court must dismiss a complaint 27 if it fails to state a claim upon which relief can be granted. To survive a Rule 12(b)(6) motion to 28 dismiss, the plaintiff must allege “enough facts to state a claim to relief that is plausible on its 6 1 face.” See Bell Atl. Corp. v. Twombly, 550 U.S. 544, 556 (2007). A claim is facially plausible 2 when the plaintiff pleads facts that “allow the court to draw the reasonable inference that the 3 defendant is liable for the misconduct alleged.” See Ashcroft v. Iqbal, 556 U.S. 662, 678 4 (2009) (citation omitted). There must be “more than a sheer possibility that a defendant has acted 5 unlawfully.” Id. While courts do not require “heightened fact pleading of specifics,” a plaintiff 6 must allege facts sufficient to “raise a right to relief above the speculative level.” See Twombly, 7 550 U.S. at 555, 570. In deciding whether the plaintiff has stated a claim upon which relief can be granted, a 8 United States District Court Northern District of California 9 court accepts the plaintiff's allegations as true and draws all reasonable inferences in favor of the 10 plaintiff. See Usher v. City of Los Angeles, 828 F.2d 556, 561 (9th Cir. 1987). However, the court 11 is not required to accept as true “allegations that are merely conclusory, unwarranted deductions of 12 fact, or unreasonable inferences.” See In re Gilead Scis. Sec. Litig., 536 F.3d 1049, 1055 (9th Cir. 13 2008). To state a claim for patent infringement, “a patentee need only plead facts sufficient to 14 15 place the alleged infringer on notice. This requirement ensures that the accused infringer has 16 sufficient knowledge of the facts alleged to enable it to answer the complaint and defend 17 itself.” Phonometrics, Inc. v. Hospitality Franchise Sys., Inc., 203 F.3d 790, 794 (Fed. Cir. 2000). 18 The Federal Circuit has “repeatedly recognized that in many cases it is possible and proper to 19 determine patent eligibility under 35 U.S.C. § 101 on a Rule 12(b)(6) motion.” Genetic Techs. 20 Ltd. v. Merial L.L.C., 818 F.3d 1369, 1373 (Fed. Cir. 2016). In such circumstances where it is 21 possible and proper, “claim construction is not an inviolable prerequisite to a validity 22 determination under § 101.” Bancorp Servs., L.L.C. v. Sun Life Assurance Co. of Can., 687 F.3d 23 1266, 1273 (Fed. Cir. 2012). 24 II. 25 PATENT ELIGIBILITY UNDER 35 U.S.C. § 101 Under Section 101 of the Patent Act, “Whoever invents or discovers any new and useful 26 process, machine, manufacture, or composition of matter, or any new and useful improvement 27 thereof, may obtain a patent therefor . . . “ 35 U.S.C. § 101. The Supreme Court “has long held 28 that this provision contains an important implicit exception: Laws of nature, natural phenomena, 7 1 and abstract ideas are no patentable.” Alice Corp. Pty. v. CLS Bank Int’l, 134 S. Ct. 2347, 2354 2 (2014). The reason for the exception is clear enough – “such discoveries are manifestations of . . . 3 nature, free to all men and reserved exclusively to none.” Mayo Collaborative Servs. v. 4 Prometheus Labs., Inc., 132 S. Ct. 1289, 1293 (2012) (citations and internal quotation marks 5 omitted). The boundaries of the exception, however, are not so clear. United States District Court Northern District of California 6 The Alice court highlighted “the concern that drives this exclusionary principle as one of 7 preemption.” Alice, 134 S. Ct. at 2354 (noting the delicate balance inherent in promoting 8 progress, the primary object of patent law, and granting a monopoly, the means for accomplishing 9 that goal). In other words, patents that seek to wholly preempt others from using a law of nature 10 or an abstract idea—“the basic tools of scientific and technological work”—are invalid. Id. 11 “Accordingly, in applying the § 101 exception, we must distinguish between patents that claim the 12 building[g] block[s] of human ingenuity and those that integrate the building blocks into 13 something more, thereby transform[ing] them into a patent-eligible invention.” Id. (internal 14 citations and quotation marks omitted). 15 The Alice Court laid out a two-step process for assessing whether claims are patent 16 eligible. Under Alice step one, a court must first “determine whether the claims at issue are 17 directed to one of those patent-ineligible concepts.” Alice, 134 S. Ct. at 2355. “[T]he ‘directed to’ 18 inquiry applies a stage-one filter to claims, considered in light of the specification, based on 19 whether their character as a whole is directed to excluded subject matter.” Enfish, LLC v. 20 Microsoft Corp., 822 F.3d 1327, 1335 (Fed. Cir. 2016) (internal quotation marks omitted). 21 Although there is no bright-line rule for determining whether a claim is directed to an abstract 22 idea, courts have articulated some guiding principles. When evaluating computer-related claims, 23 courts may look at whether the claims “improve the functioning of the computer itself,” Alice, 134 24 S. Ct. at 2359, or whether “computers are invoked merely as a tool” to implement an abstract 25 process. Enfish, 822 F.3d at 1336. 26 If claims are directed to a patent-ineligible concept, under Alice step two courts must 27 “consider the elements of each claim both individually and as an ordered combination to 28 determine whether the additional elements transform the nature of the claim into a patent-eligible 8 United States District Court Northern District of California 1 application.” Id. at 1334 (internal quotation marks and citations omitted). This step entails the 2 “search for an inventive concept—i.e., an element or combination of elements that is sufficient to 3 ensure that the patent in practice amounts to significantly more than a patent upon the [ineligible 4 concept] itself.” Alice, 134 S. Ct. at 2355 (internal quotation marks and citations omitted). “For 5 the role of a computer in a computer-implemented invention to be deemed meaningful in the 6 context of this analysis, it must involve more than performance of well-understood, routine [and] 7 conventional activities previously known to the industry.” Content Extraction & Transmission 8 LLC v. Wells Fargo Bank, N.A., 776 F.3d 1343, 1347-48 (Fed. Cir. 2014). “[T]he mere recitation 9 of a generic computer cannot transform a patent-ineligible abstract idea into a patent-eligible 10 invention.” Id. at 1348. However, “an inventive concept can be found in the non-conventional 11 and non-generic arrangement of known, conventional pieces.” BASCOM Glob. Internet Servs., 12 Inc. v. AT&T Mobility LLC, 827 F.3d 1341, 1350 (Fed. Cir. 2016). DISCUSSION 13 14 I. THE ’863 PATENT 15 A. 16 The first step under Alice is to assess whether the patent is “directed to” a patent ineligible Alice Step One 17 concept. Alice, 573 U.S. at 217. The ’863 patent describes a method of creating a block 18 abstraction that involves “processing at least one of [the] blocks” in a hierarchically decomposed 19 circuit wherein said processing includes “retaining only a sub-set” of information about the block, 20 specifically some “physical interconnect information” and a “sub-set of cells” and then “utilizing 21 said abstraction in another development phase.” ’863 patent 16:65 – 17:19. 22 The claim does not explain or lay out a specific way or inventive technology for 23 performing these steps. Id. At its core, what the claim language describes is a method of 24 discarding irrelevant information and retaining a sub-set of desired relevant information. Id. This 25 is a typical mental process that humans regularly perform and as such, is not patent eligible. See 26 Mayo Collaborative Servs. v. Prometheus Labs., Inc., 566 U.S. 66, 71 (2012) (“Phenomena of 27 nature, though just discovered, mental processes, and abstract intellectual concepts are not 28 patentable, as they are the basic tools of scientific and technological work.”); CyberSource Corp. 9 United States District Court Northern District of California 1 v. Retail Decisions, Inc., 654 F.3d 1366, 1372-73 (Fed. Cir. 2011) (a claim whose “steps can be 2 performed in the human mind, or by a human using a pen and paper” is directed to an 3 “unpatentable mental process”); see also Two-Way Media Ltd. V. Comcast Cable Commc’ns, LLC, 4 874 F.3d 1329, 1337 (Fed. Cir. 2017) (“Abstract are mere functions and results unlimited by 5 particular structures or acts for how to perform or achieve them.”). The Federal Circuit has 6 routinely found that collecting information “including when limited to particular content (which 7 does not change its character as information), as within the realm of abstract ideas.” Electric 8 Power Group, LLC v. Alstom S.A., 830 F.3d 1350 (Fed. Cir. 2016). The method described in the 9 ’863 patent of discarding irrelevant information and retaining a sub-set of specific information is 10 just another way that humans regularly sort and analyze information and is equally abstract. The 11 ’863 patent is, accordingly, directed to a patent ineligible abstract concept. 12 Synopsys makes four arguments to why the ’863 patent claims are not directed to this 13 abstract idea, none of which is persuasive. First, Synopsys argues that the patent claims are not 14 abstract because they are directed to a specific method “for use in hierarchical integrated circuit 15 design.” Dkt. No. 30, Opposition to Motion to Dismiss (“Opp.”) at 6. While this does narrow the 16 claim, being narrowed or cabined to a particular industry or process does not make an abstract 17 concept concrete. See Mayo, 566 U.S. at 88 (noting that “our cases have not distinguished among 18 different laws of nature according to whether or not the principles they embody are sufficiently 19 narrow”). 20 Second, Synopsys argues that the claims are not abstract because they do not relate simply 21 to retaining a “sub-set of data” but specifically to retaining a sub-set of “a block’s cells and 22 physical interconnect information that affects the logical, physical, and electrical behavior of the 23 parent block.” Opp. at 6. Again, while this makes the claims narrower and more specific, it does 24 not make them less abstract. The ’863 patent describes a common mental process of discarding 25 irrelevant information and retaining only a sub-set of the original information. ’863 patent 16:65 – 26 17:19. This process is abstract regardless of the type of information at issue or the specific 27 information being discarded and retained. See Electric Power Grp., 830 F.3d at 1350 (noting that 28 collecting information is an abstract concept even “when limited to particular content (which does 10 1 United States District Court Northern District of California 2 not change its character as information)”). Third, Synopsys argues that the ’863 patent claims are not abstract because they involve an 3 “inventive data structure [that] represents an improvement in hierarchical decomposition in that it 4 addresses a specific drawback identified by the inventors with pre-existing methods.” Opp. at 6. 5 Synopsys cites to Enfish, in which the Federal Circuit upheld claims relating to a new “self- 6 referential table[that] functions differently than conventional database structures,” noting that the 7 claims reflected an “improvement in computer functionality.” 822 F.3d at 1336-1337. But what 8 Synopsys characterizes as an “inventive data structure” here is just data. The ’863 patent is clear 9 that hierarchical decomposition and block abstractions are conventionally used in computer chip 10 design. See ’863 patent 5:5-20. The ’863 patent’s innovation is not creating or using block 11 abstractions but using a sub-set of the block’s actual data to create an abstraction, rather than 12 modeled information. Id. 2:58-67, 5:5-20. This is just a change in the type of data used to create 13 an abstraction, rather than any kind of inventive “structure.” Cf. BSG Tech LLC v. BuySeasons, 14 Inc., 899 F.3d 1281, 1288 (Fed. Cir. 2018) (“[A]n improvement to the information stored by a 15 database is not equivalent to an improvement in the database’s functionality.”). To the extent this 16 reflects an improvement in the process of chip design, the improvement flows entirely from an 17 improvement in the quality of the data used to create the abstraction, not from any improvement to 18 the functioning of a computer as in Enfish. 19 The mere fact that an idea or process solves a problem or proves useful in a particular 20 industry does not make it patent eligible. See Sap Am., Inc. v. InvestPic, LLC, 898 F.3d 1161, 21 1163 (Fed. Cir. 2018) (“We may assume that the techniques claimed are groundbreaking, 22 innovative, or even brilliant, but that is not enough for eligibility.”) (internal citation and quotation 23 marks omitted). The Federal Circuit has repeatedly found useful and innovative ideas ineligible 24 for patenting where they are directed to abstract concepts. See e.g. RecogniCorp, LLC v. Nintendo 25 Co., 855 F.3d 1322, 1324, 1327 (Fed. Cir. 2017) (computer-implemented process that required 26 less memory and bandwidth than prior art processes, but did not improve the functioning of a 27 computer, was directed to abstract concept). 28 Fourth, Synopsys argues that the ’863 patent claims cannot be performed as a mental 11 1 process because they “are tied to processing VLSI circuits.” Opp at 6. As discussed above, the 2 fact that the claim is narrowed to a specific industry does not prevent it from being abstract. To 3 the extent Synopsys is arguing that implementation of the ’863 claim literally or practically 4 requires the use of a computer, this does not preclude it from being abstract. “[C]laims that 5 literally require the use of a computer, but nevertheless reflect routine automation of activities 6 which ‘could all be performed by humans without a computer’” may still be abstract. Papst 7 Licensing GmbH & Co. KG v. Xilinx Inc., 193 F. Supp. 3d 1069, 1091 (N.D. Cal. 2016) (citation 8 omitted), aff’d, 684 F. App’x. 971 (Fed. Cir. 2017); CyberSource Corp., 654 F.3d at 1372, 1376- 9 66 (claim was invalid because its steps could “be performed in the human mind, or by a human 10 United States District Court Northern District of California 11 12 using a pen and paper” even though claim required use of a computer.) The ’863 claims are directed to the abstract concept of discarding some irrelevant information and retaining a sub-set of information. 13 B. 14 Under the second step of Alice, I must assess whether the ’863 patent recites a saving Alice Step Two 15 inventive concept in the application of the idea that adds “significantly more” than the abstract 16 idea itself. Alice, 573 U.S. at 217. Synopsys argues that there is a saving inventive concept 17 because the claims provide “an unconventional technological solution . . . to a technological 18 problem.” Opp. at 8. I disagree, and conclude that there is nothing sufficient in the ’863 patent to 19 remove the claims from the class of subject matter ineligible for patenting. 20 Citing Amdocs (Israel) v. Openet Telecom, 841 F.3d 1288 (2016), Synopsys argues that the 21 ’863 patent claims an “unconventional technological solution . . . to a technological problem” and 22 therefore includes an inventive concept. But unlike the relevant patent in Amdocs, the ’863 patent 23 does not require the use of any specific structure or claim an unconventional use of conventional 24 components. 25 In Amdocs, the Federal Circuit found an inventive concept in claims concerning a 26 distributed architecture in which the claimed, unconventional physical distribution of generic 27 system components working together in a distributed manner improved computer function by 28 enabling load distribution and reducing congestion in network bottlenecks. 841 F.3d at 1300. The 12 United States District Court Northern District of California 1 Federal Circuit specifically contrasted the claims at issue in Amdocs from claims it had found 2 ineligible in other cases, noting that in these cases the claims were not “tied to a specific structure 3 of various components” or “merely combine[d] the components in a generic manner.” Id. at 1301. 4 Here, although the ’863 patent asserts that it offers a better way for creating block abstractions in 5 the process of chip design and this could be characterized as a “technological solution” to a 6 “technological problem,” the patent claims are unlike those in Amdocs, because they are not “tied 7 to a specific structure of various components.” Claim 35 of the patent recites a “computer- 8 readable medium,” but that is simply the identification of a generic component and not an 9 inventive concept. See Alice, 573 U.S. at 223 (“[I]f a patent’s recitation of a computer amounts to 10 implement an abstract idea on a computer, that addition cannot impart patent eligibility.”) (internal 11 citation and quotations omitted). The ’863 patent claims are not analogous to the claims found 12 patent eligible in Amdocs. 13 Synopsys also argues that the ’863 claims are patent eligible because they are “directed to 14 improving the functionality of existing computer systems for computer-aided integrated circuit 15 design,” likening the ’863 claims to the claim found eligible in Koninklijke KPN N.V. v. Gemalto 16 M2M GmbH, 942 F.3d 1143, 1151 (Fed. Cir. 2019). Opp. at 8-9. I disagree. In Koniklijke, the 17 Federal Circuit found that a claim directed to a new way of generating check data, which 18 improved the functionality of one tool in a larger system, was patent eligible. Id. The court 19 explained that, when analyzing patent claims under Alice, courts should ask whether the claims 20 “focus on a specific means or method that improves the relevant technology,” or are “instead 21 directed to a result or effect that itself is the abstract idea.” 942 F.3d at 1151. Unlike the patent 22 claims in Koniklijke, the ’863 patent does not improve the functionality of an existing computer 23 system. Instead, its primary innovation is the idea of using a sub-set of block data to create a 24 block abstraction, rather than estimated or modeled data, because the sub-set of actual data is more 25 accurate. This is an improvement to the quality and accuracy of the data used as part of the chip 26 design process – not an improvement to any system. Nor does the ’863 patent outline or “focus on 27 a specific means or method that improves the relevant technology.” The patent does not claim a 28 specific means or method for creating or achieving this more accurate block abstraction beyond 13 1 discarding irrelevant data and retaining the relevant sub-set of data. The ’863 patent claims are 2 not analogous to those found eligible in Koniklijke. I conclude that the ’863 patent is directed to a patent ineligible abstract concept and does United States District Court Northern District of California 3 4 not contain a saving inventive concept.1 Accordingly, the patent is invalid under § 101. 5 II. THE ’640 PATENT 6 A. 7 The ’640 patent claims “[a] computer-implemented method of statistical static timing Alice Step One 8 analysis” that involves “receiving, by a computer, information describing a circuit,” “performing 9 statistical static timing analysis (SSTA),” and “storing” some resulting information. ’640 patent 10 11:23-12:22. Avatar argues that the ’640 patent is directed to a patent ineligible mathematical 11 algorithm and/or improved method of calculation, namely a “statistical analysis of information 12 describing a circuit.” Dkt. No. 26, Motion to Dismiss (“Motion”) at 22. I agree. Considering the 13 patent as a whole and in light of the specification, the focus of the ’640 patent is a specific 14 “method of statistical timing analysis,” which the patent claims is an improvement upon existing 15 models. ’640 patent 2:8-9, 11:1-2. Mathematical algorithms and methods of calculation are 16 abstract concepts and are not patent eligible. See Parker v. Flook, 437 U.S. 584, 595 n.18 (“a 17 claim for an improved method of calculation, even when tied to a specific end use is unpatentable 18 subject matter under § 101”); SAP America, Inc. v. InvestPic, LLC, 898 F.3d 1161, 1167 (Fed. Cir. 19 2018) (claims were focused on abstract ideas where they involved “selecting certain information, 20 analyzing it using mathematical techniques, and reporting or displaying the results of the 21 analysis”). The ’640 patent is accordingly directed to a patent-ineligible abstract idea. Synopsys makes three arguments why the ’640 patent is not directed at an abstract idea, 22 23 but none is persuasive. First, Synopsys argues that the ’640 patent is not directed at an abstract 24 concept because it describes “an improved method of modeling the effects of on-chip variation as 25 26 27 28 Synopsys argues that Avatar’s motion is premature because there are outstanding fact issues regarding whether the patents actually represent improvements over prior art, as claimed in each of the patents. See e.g. Opp. at 9. For the purposes of this motion to dismiss, I construe the facts in favor of Synopsys and assume that all assertions and claimed innovations identified in Synopsys’s patents are true. It is therefore not necessary to resolve these fact issues before ruling on Avatar’s motion to dismiss. 14 1 1 part of static timing analysis” and “improved the technology of VLSI circuit design.” Opp. at 19. 2 But as the Supreme Court made clear in Flook, “a claim for an improved method of calculation, 3 even when tied to a specific end use, is unpatentable subject matter under § 101.” 437 U.S. at 595 4 n.18. Second, Synopsys argues that the ’640 patent must be considered as a whole and cannot be United States District Court Northern District of California 5 6 overgeneralized or presumed to be directed to an abstract concept simply because it contains 7 mathematical steps. Opp. at 19. While this is a correct framing of the law, Synopsys does not 8 point to any other focus of the ’640 patent that is not statistical analysis or mathematical 9 calculations. The cases that Synopsys cites all involved something more than “just math.” See 10 Huawei Techs., Co, Ltd. v. Samsung Elecs. Co, Ltd., No. 3:16-CV-02787-WHO, 2016 WL 11 6834614, at *10 (N.D. Cal. Nov. 21, 2016) (Orrick, J.) (claims that applied mathematics to 12 particular machines to improve their operation were not directed to an abstract concept because 13 they “involved technological improvements and physical devices”); Thales Visionix Inc. v. U.S., 14 850 F.3d 1343, 1348 (Fed. Cir. 2017) (claims that employed a mathematical equation and recited a 15 unique configuration of inertial sensors were patent eligible). Here, in contrast, the focus of the 16 ’640 is solely on a specific method for performing a statistical static timing analysis, which is 17 math. 18 Third, Synopsys argues that Avatar misleadingly suggests that the ’640 patent claims all 19 “statistical analysis of information describing integrated circuits” when it in fact claims a narrow 20 and specific method of performing graph-based static timing analysis. Opp. at 20. Although I 21 agree that the ’640 patent does not attempt to claim all “statistical analysis of information 22 describing integrated circuits,” a narrow and specific method of performing statistical analysis on 23 integrated circuits is still abstract. See Flook, 437 U.S. at 595 n.18. 24 25 The ’640 patent is directed to a specific method of conducting a statistical analysis which is a patent-ineligible abstract concept. 26 B. 27 The ’640 patent does not have a saving inventive concept under Alice step two. Synopsys 28 Alice Step Two argues that the ’640 patent includes an inventive concept because the particular statistical static 15 1 timing analysis it claims provides “an unconventional solution to a technological problem,” 2 namely, “ensuring, in a computationally efficient manner, that a certain percentage of chips 3 manufactured meet timing requirements despite physical variations in the chips.” Opp. at 20. But 4 this is not an “inventive concept” specific to the ’640 patent—all methods of performing static 5 timing analysis are used to help ensure that a certain percentage of chips meet timing 6 requirements. See Opp. at 16. Synopsys also argues that the ’640 patent includes an inventive concept in the “non- United States District Court Northern District of California 7 8 conventional and non-generic arrangement of known, conventional pieces” because, although it 9 contains a series of common mathematical operations, “when viewed as an ordered combination, 10 they result in a non-conventional and non-generic statistical maximum operator that preserves the 11 n-sigma corners of convergent circuit timing paths.” Opp. at 20. But describing a novel series of 12 mathematical operations and calculations does not transform abstract mathematics into patent- 13 eligible material. See Flook, 437 U.S. 584, 595 n.18. Further, as the Federal Circuit made clear in 14 BASCOM, “[a]n inventive concept that transforms the abstract idea into a patent-eligible invention 15 must be significantly more than the abstract idea itself.” 827 F.3d at 1350. The unique 16 arrangement of mathematical operations that Synopsys describes is not “significantly more” than 17 the abstract statistical analysis method described by the ’640 patent – it is the method. The ’640 patent is directed at an abstract concept and does not contain a saving inventive 18 19 concept. Accordingly, I conclude that the ’640 patent is invalid under § 101. 20 III. THE ’655 PATENT 21 A. 22 The ’655 patent claims a method for “fixing design requirement violations in a circuit Alice Step One 23 design in multiple scenarios” by “receiving a scenario image,” “receiving a multi-scenario 24 engineering change order (ECO) database,” and “determining . . an ECO to fix one of more design 25 requirement violations” by “estimating parameter values . . . based on parameter values stored in 26 the scenario image and the multi-scenario ECO database.” ’655 patent 9:53-67. Avatar argues 27 that the ’655 patent is directed to the abstract idea of “receiving partial information and then 28 estimating missing values from other values that are present,” and is therefore patent ineligible. 16 1 United States District Court Northern District of California 2 MTD at 16. When considered as a whole, and in light of the specification, the ’655 claims are directed 3 to a specific method for checking ECOs across multiple scenarios during the process of fixing 4 design requirement violations. The ’655 patent identifies a problem in the prior art process of 5 fixing design requirement violations: ECOs need to be checked against multiple scenarios to 6 ensure they don’t create new violations, but a computer can only hold one scenario image in 7 memory at a time. ’655 patent 4:10-20. This means that to run these checks, conventionally, 8 scenario images had to be swapped in and out of a computer’s memory, which is a time- 9 consuming process. Id. 4:37-41. To address this issue, the ’655 patent proposes a new method of 10 checking ECOs across multiple scenarios by using a scenario image and an ECO database – which 11 is smaller than a scenario image and therefore able to be stored in a computer’s memory alongside 12 a scenario image – to estimate how an ECO will interact with other scenarios. Id. 4:58-5:2. This 13 method improves upon the prior art by allowing the system to estimate how an ECO will impact 14 other scenarios without the need for swapping out scenario images, thereby improving the 15 likelihood that an ECO will work across multiple scenarios and reducing the need to backtrack or 16 redo ECOs further along in the process. Id. 17 The Federal Circuit has found claims patent-eligible when they are directed to particular 18 methods that improve the use and efficiency of computers. In Data Engine Technologies LLC v. 19 Google LLC, 906 F.3d 999, for example, the Federal Circuit found a claim that provided a 20 “specific” and “particular” method “for rapidly accessing and processing information” in three 21 dimensional spreadsheets was patent eligible because it “improve[d] the efficient functioning of 22 computers.” Id. at 1009. Similarly, in Core Wireless Licensing S.A.R.L. v. LG Elecs., Inc., 880 23 F.3d 1356, 1363 (Fed. Cir. 2018), the Federal Circuit held that claims directed to an improved 24 display interface that “increased the efficiency with which users could navigate through various 25 views and windows” were not directed to an abstract concept. Like the claims in these cases, the 26 ’655 patent claims a method that improves the “efficient functioning of computers” by identifying 27 a way to check ECOs across multiple scenarios at a time – despite computer memory limitations – 28 and reducing the need to engage in the time-consuming process of loading different scenario 17 United States District Court Northern District of California 1 images from the computer’s storage to memory. This is not an abstract concept and is patent 2 eligible under Alice. 3 B. 4 Even if the ’655 patent is directed at a patent ineligible abstract concept, it contains a Alice Step Two 5 saving inventive concept in the unconventional use of an ECO database and scenario image to 6 estimate how an ECO will work in other scenarios, thereby reducing the need to swap out scenario 7 images during the process of fixing design violations across multiple scenarios in computer chip 8 design. A claim may have a “sufficient inventive concept” under Alice step two if the claim 9 “solve[s] a technology-based problem, even with conventional, generic components, combined in 10 an unconventional manner.” Amdocs, 841 F.3d at 1300; see also DDR Holdings, LLC v. 11 Hotels.com L.P., 773 F.3d 1245, 1257-59 (Fed. Cir. 2014). The ’655 patent outlines a novel 12 method for conducting ECO checks across multiple scenarios by using an ECO database and a 13 scenario image to estimate how ECOs will impact other scenarios. This method reduces the need 14 to engage in the time-consuming process of swapping out scenario images from computer storage 15 to memory and therefore provides a more efficient system for fixing design violations across 16 multiple scenarios. As in Amdocs, this is a sufficient inventive concept under Alice step two. 17 For the purposes of this motion to dismiss, I conclude that the ’655 patent is not directed to 18 a patent ineligible abstract concept. Further, I conclude that even if the ’655 patent is directed to 19 an abstract concept, it contains a sufficient saving inventive concept. CONCLUSION 20 21 For the reasons discussed above, I find that the ’863 and ’640 patents are invalid under § 22 101. Accordingly, Avatar’s motion to dismiss is GRANTED as to Claims I and II. Because I find 23 that the ’655 is not invalid under § 101, Avatar’s motion to dismiss is DENIED as to Claim VI. 24 25 IT IS SO ORDERED. Dated: November 12, 2020 26 27 William H. Orrick United States District Judge 28 18

Some case metadata and case summaries were written with the help of AI, which can produce inaccuracies. You should read the full case before relying on it for legal research purposes.

This site is protected by reCAPTCHA and the Google Privacy Policy and Terms of Service apply.