Synopsys, Inc. v. Atoptech, Inc, No. 3:2013cv02965 - Document 874 (N.D. Cal. 2016)

Court Description: MEMORANDUM OF DECISION; FINDINGS OF FACT AND CONCLUSIONS OF LAW. For the reasons set forth in the Memorandum of Decision, the Court finds in favor of Synopsys and against ATopTech on the defense of equitable estoppel. Signed by Judge Maxine M. Chesney on 10/24/16. (mmcalc, COURT STAFF) (Filed on 10/24/2016)

Download PDF
1 2 3 4 IN THE UNITED STATES DISTRICT COURT 5 FOR THE NORTHERN DISTRICT OF CALIFORNIA 6 7 SYNOPSYS, INC., Plaintiff, 8 v. 9 10 ATOPTECH, INC, MEMORANDUM OF DECISION; FINDINGS OF FACT AND CONCLUSIONS OF LAW Defendant. 11 United States District Court Northern District of California Case No. 13-cv-02965-MMC 12 PROCEDURAL BACKGROUND 13 14 On June 26, 2013, plaintiff Synopsys, Inc. (“Synopsys”) filed the instant action 15 against defendant ATopTech, Inc. (“ATopTech”), alleging, inter alia, claims of copyright 16 infringement. On March 10, 2016, at the conclusion of a three-week trial, a jury found in 17 favor of Synopsys on the copyright claims and awarded damages in the amount of 18 $30,400,000. Thereafter, between July 25, 2016, and July 29, 2016, the Court conducted 19 a bench trial on ATopTech’s affirmative defense of equitable estoppel. 20 Having considered all evidence relevant to said affirmative defense,1 as well as the 21 parties’ oral arguments and written submissions, the Court hereby issues its findings of 22 fact and conclusions of law. DISCUSSION 23 24 25 The parties’ dispute arises in the field of Electronic Design Automation (“EDA”), in particular, software tools used in the design of integrated circuits, i.e., chips. Both 26 27 28 1 The parties agreed that the Court could consider both the evidence admitted at the jury trial and the evidence admitted at the bench trial. 1 Synopsys and ATopTech produce and market software for what is known as the “place- 2 and-route” function in the design of computer chips, i.e., software that plans the layout of 3 a chip and the electrical connections among its various components. Synopsys also 4 produces and markets what is known as “sign-off” or “static timing analysis” software, 5 which checks the timing of the chip design created by the place-and-route software. 6 Synopsys claimed, and the jury found, that ATopTech had copied into ATopTech’s 7 place-and-route product, Aprisa, part of the command set2 from Synopsys’s sign-off 8 product, PrimeTime, which is based on a script developed by Synopsys called Tcl/CCI. 3 9 In support of its equitable estoppel defense, ATopTech argues that Synopsys encouraged such usage in order to meet customer demand for improved interoperability 11 United States District Court Northern District of California 10 between place-and-route and sign-off products when the two types of products are 12 offered by different vendors. 13 The doctrine of equitable estoppel “preclud[es] a party, both at law and equity[,] 14 from asserting rights which might perhaps have otherwise existed” against another party 15 “who has in good faith relied upon [the former’s] conduct, and has been led thereby to 16 change his position for the worse.” U.S. v. Georgia-Pacific Co., 421 F.2d 92, 96 (9th Cir. 17 1970) (internal quotation and citation omitted). To establish the defense of equitable 18 estoppel, four elements must be proved: “(1) The party to be estopped must know the 19 facts [of the other party’s infringement]; (2) he must intend that his conduct shall be acted 20 on or must so act that the party asserting the estoppel has a right to believe it is so 21 intended; (3) the latter must be ignorant of the true facts; and (4) he must rely on the 22 former’s conduct to his injury.” Hampton v. Paramount Pictures Corp., 279 F.2d 100, 104 23 (9th Cir. 1960). The party claiming estoppel has the burden of proving each of the 24 elements by a preponderance of the evidence. See A.C. Aukerman Co. v. R.L. Chaides 25 2 26 27 28 Command sets include the names and syntax of commands, options, parameters, variables, objects, and attributes. 3 Tcl/CCI is the Tcl scripting language augmented with Synopsys's Common Command Interpreter ("CCI"). 2 1 2 Constr. Co., 960 F.2d 1020, 1043, 1046 (Fed. Cir. 1992) (en banc). Here, as to the first element, ATopTech asserts Synopsys was aware that 3 ATopTech was including in Aprisa parts of Synopsys’s copyrighted PrimeTime command 4 set. In support thereof, ATopTech relies primarily on the technology underlying place- 5 and-route and sign-off products and Synopsys’s knowledge both of such technology and 6 of Aprisa’s ability to successfully compete in the relevant market. Accordingly, the Court 7 turns to the evidence offered in that regard. To be marketable, a chip must meet specified timing requirements. In designing a 9 chip, place-and-route software makes a preliminary determination as to timing, and sign- 10 off software is used for the final determination. For these two types of EDA tools to work 11 United States District Court Northern District of California 8 together effectively, there must be an adequate degree of correlation between them, i.e., 12 they must produce similar results for the same chip design. Although adequate 13 correlation between the two tools is possible based on their respective algorithms, it is 14 considerably more difficult to achieve where the user is required to enter a different set of 15 commands for each tool. By no later than 2004, both Synopsys and ATopTech were 16 aware of such circumstance and of customer demand for improved interoperability 17 between place-and-route and sign-off tools offered by different vendors. 18 ATopTech began selling Aprisa in 2007 and, essentially from the outset, 19 advertised its product as having excellent correlation with PrimeTime. In December 20 2007, using PrimeTime for the sign-off, Aprisa won a benchmark competition held by 21 Broadcom Corporation (“Broadcom”), a major customer of Synopsys. Synopsys had 22 entered its own place-and-route product in the competition and was aware of the results. 23 ATopTech argues, in essence, that Synopsys must have put two and two together 24 and realized that Aprisa could not have met Broadcom’s stringent timing requirements 25 without having used a substantial part of the PrimeTime command set and, even if 26 Synopsys did not make the obvious connection, that it should have.4 27 4 28 Contrary to Synopsys’s argument, the Court does not find ATopTech is collaterally estopped from making such a showing. It was the Court’s understanding, as 3 1 As discussed above, the first element of equitable estoppel is that the party to be 2 estopped “must know the facts.” Hampton, 279 F.2d at 104. Here, there is no evidence 3 that Synopsys, either before or at the time of the competition, had either seen or been 4 told of the commands used by Aprisa or, given their proprietary nature, that it had access 5 to them. Synopsys did not obtain access to any Aprisa documentation, or otherwise 6 learn of its content, until after an audit was conducted in November 2012, pursuant to a 7 provision in a license initially granted to ATopTech by ExtremeDA, a company Synopsys 8 acquired in October 2011. Consequently, the Court finds Synopsys lacked actual 9 knowledge of the facts of ATopTech’s infringement until shortly before it filed the instant 10 United States District Court Northern District of California 11 lawsuit. Next, assuming the first requirement can be met by a showing of constructive, 12 rather than actual, knowledge, see Cedar Creek Oil & Gas Co. v. Fid. Gas Co., 249 F.2d 13 277, 282 (9th Cir. 1957) (holding plaintiff must have acted "with knowledge, actual or 14 constructive"); but see United States v. 31.43 Acres of Land, 547 F.2d 479, 482 n.5 (9th 15 Cir. 1976) (declining to resolve issue of “whether the test is actual or constructive 16 knowledge”), the Court considers whether Synopsys had enough information from which 17 it can be deemed to have inferred that ATopTech was infringing its copyrights. As set 18 forth below, the Court finds that it did not. 19 At the outset, the Court, as noted, has found Synopsys, although aware that 20 ATopTech had won a benchmark competition in which PrimeTime was the required sign- 21 off tool, also knew that place-and-route and sign-off tools from different companies can, 22 23 24 25 26 27 28 well as ATopTech’s, that evidence relevant only to equitable estoppel would not be introduced at the jury trial, and, consequently, a finding against ATopTech in that earlier proceeding, as to the time at which Synopsys learned of infringing conduct, would not serve to bar a finding based on additional evidence offered at the bench trial. See Kourtis v. Cameron, 419 F.3d 989, 994 (9th Cir. 2005) (holding “doctrine of collateral estoppel (or issue preclusion) prevents relitigation of issues actually litigated and necessarily decided, after a full and fair opportunity for litigation, in a prior proceeding”) (internal quotation and citation omitted), abrogated on other grounds by Taylor v. Sturgell, 553 U.S. 880 (2008). 4 1 albeit with some difficulty, adequately correlate without sharing a command set.5 The 2 question remains, however, whether Synopsys’s knowledge of ATopTech’s success at 3 the benchmark competition, coupled with Synopsys’s understanding of the relevant 4 technology, was sufficient to put Synopsys on “inquiry notice.” See Ultimax Cement Mfg. 5 Corp. v. CTS Cement Mfg. Corp., 856 F. Supp. 2d 1136, 1153, 1155 (C.D. Cal. 2012) 6 (holding "patentee is charged with such knowledge as might have [been] obtained upon 7 inquiry, provided the facts already known by him were such as to put upon a man of 8 ordinary intelligence the duty of inquiry" (internal quotation and citation omitted)). 9 In that regard, as ATopTech points out, a number of courts have held a plaintiff’s failure to conduct a reasonable investigation can give rise to a finding of constructive 11 United States District Court Northern District of California 10 knowledge. The circumstances under which such findings were made, however, differ 12 markedly from those presented here. Indeed, in two of the cases cited by ATopTech, 13 there was ample evidence of, and the court found, actual knowledge. See Cedar Creek 14 15 16 17 18 19 20 21 22 Oil & Gas Co., 249 F.2d at 282–83 (finding, in suit to quiet title to oil leases and lands against defendants who claimed rights under oil exploration and drilling agreements, plaintiffs had “actual knowledge” of relevant facts, namely plaintiffs’ “own view that the [subject] operating agreements had terminated”); Carmichael Lodge No. 2103 v. Leonard, 2009 WL 2985476, at *18 (E.D. Cal. 2009) (finding, on counterclaim for infringement of copyrighted travel guides, counterclaimant “knew of [counterdefendant’s] use of the guides, and of [counterdefendant’s] belief that [counterdefendant] owned the copyright to them”) (internal citations omitted). In the remaining cases on which ATopTech relies, the plaintiffs had a considerable amount of information pointing directly to infringement, as well as ready access to 23 24 5 25 26 27 28 ATopTech points out that Synopsys, in both its initial and amended complaints, alleges that ATopTech could only have achieved excellent correlation through “copying” Synopsys’s proprietary formats. (See Compl. ¶ 36; Am. Compl. ¶ 36.) That allegation, however, was made long after the events on which ATopTech relies and after Synopsys learned that ATopTech, without a license or by other means sanctioned by Synopsys, had gained access to the PrimeTime command set and, in fact, had copied material from it into Aprisa. 5 1 additional information that would have confirmed such infringing conduct. See Ultimax, 2 856 F. Supp. 2d at 1140, 1144, 1153 (noting, where plaintiff company claimed defendant 3 competitor infringed patent relating to rapid-hardening, high-strength cement, owners had 4 “long history” of working together in field of cement chemistry, plaintiff’s owner had been 5 hired by defendant to develop same type of cement, and plaintiff hired private investigator 6 7 8 9 10 11 after receiving information that defendant was infringing subject patent); Frugoli v. Fougnies, 2004 WL 3372012, at *4, *9 (D. Ariz. 2004) (finding, in suit seeking correction of inventorship, plaintiff and defendant had met “to explore whether a patent application could be filed,” plaintiff was “fully aware of the named inventor’s use of the technology, and the fact that they were marketing that system for a profit,” and “[t]hrough a simple inquiry, . . . [plaintiff] could have known the subject-matter of [the] applications exactly”) United States District Court Northern District of California (internal quotation and citation omitted); Electromotive Div. of Gen. Motors Corp. v. 12 13 14 15 Transp. Sys. Div. of Gen. Electric Co., 275 F. Supp. 2d 850, 852–53 & n.3, 861 (E.D. Mich. 2003) (finding, in suit for infringement of patented replacement parts for turbochargers manufactured by plaintiff, third parties had competed for many years by reverse-engineering compatible parts; defendant had acquired company that earlier had 16 been engaged in negotiations with plaintiff, during which discussions company “openly 17 shared its engineering, manufacturing, sales, labor and employment, legal, and 18 management information with individuals from [plaintiff]”; and notes taken by plaintiff’s 19 personnel involved in negotiations “repeatedly referenced” company’s use of parts that 20 had been reverse-engineered from plaintiff’s parts). 21 Here, by contrast, Synopsys had no information upon which to base a claim of 22 infringement other than its general understanding of the technology relevant to correlation 23 and its knowledge of ATopTech’s commercial success. Unlike the plaintiffs in the cases 24 on which ATopTech relies, Synopsys had no information as to how its proprietary 25 materials could have been accessed by its competitor. Synopsys makes PrimeTime and 26 its related manuals available solely through a license, which ATopTech did not have, and 27 the license itself expressly prohibits distribution by the licensee. There were no public 28 records or other readily accessible documents available for Synopsys to review, and 6 1 ATopTech points to no other investigation that Synopsys might have conducted. Nor can 2 ATopTech argue that a simple inquiry would have revealed its use of Synopsys’s 3 copyrighted material. Shortly before the filing of the instant lawsuit, when Robert 4 Hoogenstryd, Synopsys’s Senior Director of Marketing, expressed to Jue-Hsien Chern 5 (“Chern”), ATopTech’s Chief Executive Officer, his concern that ATopTech had copied 6 7 8 9 10 United States District Court Northern District of California 11 12 13 14 15 16 17 18 19 20 21 22 23 24 the PrimeTime command set, Chern replied: “Robert, trust me. We didn’t copy any of your stuff.” (See Jury Trial Tr. 835:4–836:21.) In sum, ATopTech has not met its burden to establish the first element of equitable estoppel. Although, in light of such finding, the Court need not continue the inquiry, the Court nonetheless turns to the second element. As relevant here, the second element essentially requires a showing that the copyright holder, through misleading conduct, gave the alleged infringer reason to believe it did not intend to enforce its copyright. In that regard, ATopTech contends Synopsys actively encouraged the conduct of which it now complains. In particular, ATopTech argues that Synopsys encouraged all EDA companies to use a common command set, Synopsys’s Tcl/CCI commands, as a means of achieving greater interoperability among tools from different vendors. In support of its argument, ATopTech relies primarily on three presentations made by Synopsys employees at industry conferences attended by EDA vendors and customers, as well as the publication of two books authored by a third party.6 The Court addresses those events in chronological order. Prior to the above-referenced presentations, Himanshu Bhatnagar (“Bhatnagar”), a design engineer employed at Conexant Systems,7 authored two books, the first titled “Advanced ASIC Chip Synthesis: Using Synopsys Design Compiler and Prime Time,” published in 1999, and the second titled “Advanced ASIC Chip Synthesis: Using 25 26 6 27 7 28 The books likewise were published by a third party. Conexant Systems designs semiconductor products and is not affiliated with either party to the instant action. 7 1 Synopsys Design Compiler, Physical Compiler, and PrimeTime,” published in 2002 (“the 2 Bhatnagar books”). In each of the books, Bhatnagar includes a chapter on the basics of 3 static timing analysis using PrimeTime, provides examples of Tcl/CCI commands used in 4 PrimeTime, and, in the Acknowledgments section, expresses his “thanks” to, inter alia, 5 various individuals “at Synopsys” who “participated in reviewing the manuscript” and 6 made “valuable suggestions.” (See Exs. 1787, 1788.) ATopTech’s Vice President of 7 Timing Technology, Yucheng Wang (“Dr. Wang”), read the books at the time of their 8 publication and consulted them from time to time. 9 Next, in April 2004, Dwight Hill, Ph.D. (“Dr. Hill”), a Synopsys engineer, distributed a handout at an Electronic Design Process Symposium. As of the time the symposium 11 United States District Court Northern District of California 10 was conducted, customers had been asking the EDA industry to provide them with easier 12 ways to achieve interoperability, and Cadence Design Systems, a competitor of 13 Synopsys, was promoting a program called OpenAccess, which was predicated on the 14 use of a shared database and had been garnering some interest in the field. In the 15 handout, Dr. Hill proposed an alternative “solution” that was “basically, to use Tcl with 16 CCI and collections8 to present a unified interface to the multiple environments,” both 17 “across multiple engines” and “across multiple companies.” (Ex. 1744 at 2, 6.) Dr. Hill 18 explained how Tcl/CCI is used, included examples of Tcl/CCI commands, and referred 19 readers to Synopsys’s PrimeTime User Guide for additional information. 20 Also in April 2004, at an Interoperability Developers’ Forum, Noel Strader 21 (“Strader”), who was employed at Synopsys in marketing, made a presentation in which 22 he used a series of bullet points, including one stating “Synopsys Tcl/CCI-type 23 commands” would be available for several Synopsys offerings, including its Milkyway 24 design database and MAP-in (“Milkyway Access Program”), a license for the Milkyway 25 26 database that Synopsys provided at no cost to all EDA vendors. (See Ex. 1979 at 7.) Although no one from ATopTech attended the April symposium or forum, 27 8 28 Collections are an additional enhancement of Tcl developed by Synopsys. 8 1 ATopTech’s then Chief Executive Officer, Kaiwin Lee, Ph.D. (“Dr. Lee”), read about Dr. 2 Hill’s paper shortly after it was presented and obtained a copy, which Dr. Wang read as 3 well; also in 2004, Dr. Wang saw the Strader presentation on the internet. 4 5 6 7 8 9 10 United States District Court Northern District of California 11 Later that year, in October 2004, at another interoperability forum, which Dr. Wang, at Dr. Lee’s request, personally attended, a Synopsys MAP-in program manager gave a presentation in which he announced the Tcl-CCI interface was now available through MAP-in, after which Dr. Lee obtained a MAP-in license for ATopTech. Following the October forum, Dr. Wang and Dr. Lee made the decision to develop the software for Aprisa using the parts of the PrimeTime command set Dr. Wang determined were relevant to achieving greater correlation with PrimeTime, and ATopTech proceeded in accordance with that plan. Contrary to ATopTech’s argument, however, the Court does not find Synopsys’s 12 presentations at the above-referenced conferences, or its assistance with the Bhatnagar 13 books, even when considered in combination, constitute a sufficient statement of 14 authorization, either express or implied, to warrant copying the PrimeTime command set 15 in reliance thereon. 16 As Synopsys points out, all of Synopsys’s interoperability programs, including 17 MAP-in, require a license. ATopTech knew it could not, as a competitor, obtain a license 18 to PrimeTime, and the MAP-in license ATopTech obtained expressly states the licensee 19 may use the Milkyway database, which contains some of the PrimeTime commands, for 20 21 22 23 its internal use only. (See Ex. 1253 ¶ 1.a. (granting “personal, internal-use-only, nonexclusive license”).) There is no right provided under the MAP-in license to reproduce and distribute those commands, whether in a competing product or otherwise. 9 Further, all of Synopsys’s software products and manuals are prominently marked with a 24 copyright notice. See Hampton, 279 F.2d at 104 (including copyright notice among facts 25 26 9 27 28 By contrast, the license for Synopsys Design Constraints (“SDC”), which ATopTech also obtained in 2004, expressly grants the licensee the right to “reproduce” and “distribute” the licensed commands. (See Ex. 1783 ¶ 2.) 9 1 relevant to estoppel). The Bhatnagar books likewise are prominently marked with a 2 copyright notice;10 in addition, each book includes the following disclaimer: “All ideas and 3 concepts provided in this book are authors [sic] own, and are not endorsed by Synopsys, 4 Inc. Synopsys, Inc. is not responsible for information provided in this book.” (See Exs. 5 1787, 1788). 6 Under such circumstances, although the events on which ATopTech relies may 7 have caused it to question whether Synopsys was forgoing enforcement of its copyright, 8 ATopTech, before deciding to incorporate Synopsys’s PrimeTime commands into Aprisa, 9 had an obligation to investigate further. See Hampton, 279 F.2d at 104 (holding “doctrine of equitable estoppel does not erase the duty of due care”). ATopTech, however, never 11 United States District Court Northern District of California 10 spoke to any of the presenters at the conferences, nor did it inquire of anyone else at 12 Synopsys as to Synopsys’s position regarding the course of development on which 13 ATopTech was about to embark, either in 2004 or at any time thereafter. Instead, in the 14 process of incorporating Synopsys’s copyrighted command set into Aprisa, ATopTech 15 obtained access to that material from other sources and in other ways not authorized by 16 Synopsys.11 Had ATopTech “use[d] the means at hand,” and made a simple inquiry of 17 Synopsys, it could easily have “ascertain[ed] the extent of the interest asserted.” See id. 18 at 105. 19 20 The Court thus finds ATopTech has not met its burden to establish the second element of equitable estoppel.12 CONCLUSION 21 22 Accordingly, for the reasons set forth above, the Court finds in favor of Synopsys 23 10 24 The copyright notice in the Bhatnagar books is in the name of the publisher. 11 25 26 27 28 For example, ATopTech obtained current versions of the PrimeTime command set from ATopTech customers who had been granted PrimeTime limited licenses and gained access to a proprietary website by an ATopTech employee’s continued use of login information he had acquired while employed by a Synopsys customer. 12 Given the above findings, the Court does not address herein the remaining two elements. 10 1 2 and against ATopTech on the defense of equitable estoppel. IT IS SO ORDERED. 3 4 Dated: October 24, 2016 MAXINE M. CHESNEY United States District Judge 5 6 7 8 9 10 United States District Court Northern District of California 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 11

Some case metadata and case summaries were written with the help of AI, which can produce inaccuracies. You should read the full case before relying on it for legal research purposes.

This site is protected by reCAPTCHA and the Google Privacy Policy and Terms of Service apply.